[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[bluetooth] Bluetooth baseband core



Hi all,
I've uploaded bluetooth baseband core spec and design document version
0.01b to www.opencores.org/cores/bluetooth/Bluetooth_01b.zip

Includes:
- Data path blocks
- IO pins
- Registers

missing
- System controller
- some blocks like hop generation, flow control ..etc

Please let me know if you have any comments before I put this release
into the CVS

If any one is interested in coding some blocks please contact me and
indicate which blocks he/she is interested in.

Regards,
--
   Jamil Khatib
OpenCores Organization
http://www.opencores.org


--
To unsubscribe from bluetooth mailing list please visit http://www.opencores.org/mailinglists.shtml