[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

[oc] netlist simulation



Hello there

here is the post synthesis file included in a test
bench.
It is just a small example. But when I use ncverilog
simulate
it, it gave me error saying that :

Unbounded ncvlog: *W,UNBINS: Unbound instance found:
U1::NR2 in unit worklib.prioritize:v
...........

Is there anybody tell me why??

Thanks a lot

Have  a nice weekend

Xia

__________________________________________________
Do You Yahoo!?
Yahoo! Autos - Get free new car price quotes
http://autos.yahoo.com
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml