[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] Simulation Tools



If you want the economic solution, choose a free one like 
icarus verilog.   its slower, but cpu's cost less than licenses.

If you want _fast_, benchmark VCS and nc-verilog on a typical job, and 
calculate $$ per million vectors per second  (or something)

if you want big designs, similar answer.

XL and modelsim are unlikely to win either speed or design size, 
unless your jobs are unusual. (unless modelsim has gone 64 bit yet??)

If you want mixed-mode, look at modelsim and nc-sim.

you have not mentioned what matters most to you yet.

John



On Wed, Jul 24, 2002 at 05:58:20AM -0100, vlsi_champ@indiatimes.com wrote:
> Hello Rudolf and John,
> 
> 
> Thanks a lot for ur replies....
> 
> Can u tell me what is IMHO in relation to the simulation tools? 
> 
> I am really getting feature wise difference in the simulation tools u 
> mentioned in the reply. Can I get the cost wise difference? Like 
> supppose one wants to go for an economic solution for the simulation 
> tool, ofcourse not at the cost of performance, then for which tool it 
> should go for?
> 
> Thanks in advance,
> 
> Regards,
> 
> VLSI CHAMP....
> 
> 
> 
> ----- Original Message ----- 
> From: Rudolf Usselmann <rudi@a... > 
> To: cores@o...  
> Date: Thu, 18 Jul 2002 10:30:04 +0700 
> Subject: Re: [oc] Simulation Tools 
> 
> > 
> > 
> > On Thursday 18 July 2002 06:48 am, John Sheahan wrote: 
> > ... 
> > > > 1&gt; NC Verilog from Cadence 
> > > > 2&gt; Verilog XL from Cadence 
> > > > 3&gt; VCS from Synopsys 
> > > > 4&gt; Modelsim from Modeltech 
> > 
> > Brief comment on the user interface. 
> > 
> > Modelsim has by far the most complex interface IMHO. However, 
> > all of the other tools come with very powerful user interfaces as 
> > well. Only problem is that they are less known and less used. I 
> > find 
> > myself using the simulators mostly in regression and debugging 
> > runs. Both times I prefer to use Makefiles or Perl scripts to drive 
> > my work. The only time I need a "user interface" is when I'm doing 
> > debugging and need to look at waveforms. Cadence provides 
> > SignalScan which one th better tools I have ever used for 
> > debugging. Other interface shells (similar to Modelsim) are also 
> > provided but I personally prefer the command line interface - I 
> > feel my productivity is much higher that way. 
> > 
> > Regards, 
> > rudi 
> > 
> --
> To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml