[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

Re: [oc] Verilog coding style for Open Cores-RTL - Case in point SHA1



On Thu, May 29, 2003 at 10:26:48PM +0100, Shehryar Shaheen wrote:
> 
> > Is there any quickly way to code a netlist given a
> > handful of components without having to worry about execution order?
> 
> All declared concurent processes will execute in parallel much like all
> always blocks
>  in verilog execute in parallel and all processes in VHDL.

you appear to have ducked this question. Instantiations and assigns 
are parallel too, and are short and clear.
john
--
To unsubscribe from cores mailing list please visit http://www.opencores.org/mailinglists.shtml